Начните Симулятор HDL для косимуляции в Simulink

Запустите Симулятор HDL из MATLAB

Запустите симулятор HDL непосредственно с MATLAB® вызовом функции HDL Verifier™ vsim или nclaunch.

>>vsim

Обратите внимание, что если оба инструмента (MATLAB и Симулятор HDL) работают не в одной и той же системе, необходимо запустить Симулятор HDL вручную и загрузить библиотеки HDL Verifier самостоятельно. См. «Библиотеки косимуляции».

Вы можете позвонить vsim или nclaunch с дополнительными параметрами; для получения дополнительной информации см. страницы с описанием.

Вы должны убедиться, что Симулятор HDL исполняемые файлы - также называемые vsim (ModelSim®) и nclaunch (Cadence Incisive)®) - находятся в системном пути. Инструкции по настройке переменных окружения см. в документации по системе.

Пользователи Linux

Убедитесь, что исполняемый файл Симулятора HDL все еще находится в системном пути после запуска интерпретатора из MATLAB. Если это не так, убедитесь, что интерпретатор файл запуска не удаляет его из переменного пути окружения.

Загрузка образца модуля HDL для косимуляции

Острый® пользователи загружают образец HDL-модуля для косимуляции, используя hdlsimulink функция. Пользователи ModelSim делают то же самое, используя vsimulink функция.

 Пример загрузки HDL-модуля образца - Incisive users

 Пример загрузки образца HDL Module - пользователи ModelSim

Эта команда открывает рабочую область симуляции для manchester и отображает серию сообщений в командном окне Симулятора HDL, когда симулятор загружает пакеты и архитектуры для HDL-модуля.

Для просмотра документации необходимо авторизоваться на сайте