Настройка для косимуляции HDL

Чтобы косимулировать HDL-код с помощью MATLAB® или Simulink® проект, вы должны сначала:

  • Решите, как соединить ваш симулятор HDL с MATLAB или Simulink. У вас может быть один или несколько модулей HDL в настройке косимуляции. Модули представлены matlabcp и matlabtb функции для MATLAB, или по HDL Cosimulation блокам для Simulink. См. Строения косимуляции»

  • Запустите симулятор HDL из MATLAB или из интерпретатора. Необходимо использовать интерпретатор для кросс-сетевой симуляции, например, если симулятор HDL работает на другой машине от хоста MATLAB. Запуск симулятора из MATLAB позволяет вам задать библиотеку по имени, а не по точному пути. См. Симулятор HDL запуска.

  • Если требуется расположение библиотеки, отличное от расположения библиотеки по умолчанию, задайте библиотеку при запуске Симулятора HDL. Если запускается симулятор HDL из MATLAB, используйте имя библиотеки. Если вы запускаете Симулятор HDL из интерпретатора, используйте путь к библиотеке. См. «Библиотеки косимуляции».

  • Опционально используйте скрипт строения и диагностики, чтобы сконфигурировать расположение библиотеки и протестировать соединение TCP/IP. Этот скрипт поддерживается только для Linux® машин. Для Windows® машины, можно создать файл строения. См. «Настройка Строения и запуск диагностики».

Строения косимуляции

Существует несколько способов подключения Симулятора HDL к проекту в MATLAB или Simulink. Можно запустить Симулятор HDL на том же или другом хост-компьютере, что и MATLAB. Каждый Симулятор HDL может соединиться с одной или несколькими функциями в MATLAB или с одним или несколькими блоками HDL Cosimulation в модели Simulink. В сетевом строении для идентификации серверов приложений используйте Интернет-адрес и порт сокета TCP/IP.

Примечание

  • Экземпляр MATLAB может запустить только один экземпляр сервера MATLAB (hdldaemon) за раз.

  • Каждый симулятор HDL должен взаимодействовать с уникальным образцом сервера MATLAB.

  • Связь с общей памятью является опцией для строений, которые требуют только одной ссылки связи в одной вычислительной системе.

  • Коммуникация разъемов TCP/IP требуется для строений, которые используют несколько ссылки связи в одной или нескольких вычислительных системах. Уникальные порты TCP/IP различают ссылки связи.

Допустимые строения для косимуляции HDL с MATLAB

Сеанс Симулятора HDL, соединенный с функцией MATLAB через один образец сервера MATLAB.
Сеанс Симулятора HDL, соединенный с несколькими функциями MATLAB через один образец сервера MATLAB.
Сеанс Симулятора HDL, соединенный с функцией MATLAB через несколько образцы сервера MATLAB. Каждый образец запускается в пределах возможностей уникального сеанса работы с MATLAB). Это строение не поддерживается Cosimulation Wizard.
Несколько Симулятор HDL сеансов, каждый из которых подключен к функции MATLAB через несколько образцы сервера MATLAB. Каждый образец запускается в пределах возможностей уникального сеанса работы с MATLAB.

Допустимые строения для косимуляции с Simulink

Блок HDL Cosimulation в модели Simulink, соединенный с одним Симулятором HDL сеанс.
Несколько блоков HDL Cosimulation в модели Simulink, соединенных с одним и тем же Симулятором HDL сеансах. Это строение не поддерживается Cosimulation Wizard. Можно объединить несколько модулей HDL в модуль более высокого уровня, чтобы включить их в один HDL Cosimulation блок.
Несколько блоков HDL Cosimulation в модели Simulink, соединенных с различными Симуляторами HDL сеансах. HDL Cosimulation блоки в модели Simulink могут соединяться с теми же или другими сеансами Симулятора HDL. Каждый блок HDL Cosimulation может обмениваться данными только с одним Симулятором HDL.

Симулятор HDL запуска

Прежде чем вы начнете Симулятор HDL, запустите сервер косимуляции с помощью hdldaemon функция.

Начать Симулятор HDL можно из MATLAB или из интерпретатора. Необходимо использовать интерпретатор для кросс-сетевой симуляции, например, если симулятор HDL работает на другой машине от хоста MATLAB. Запуск симулятора из MATLAB позволяет вам задать библиотеку по имени, а не по точному пути.

Запустите Симулятор HDL из MATLAB

Каждый поддерживаемый Симулятор HDL имеет уникальную команду, которая открывает его из MATLAB.

Примечание

Если вы используете Cosimulation Wizard, вам не нужно запускать Симулятор HDL отдельно.

Симулятор HDLКоманда для открытия симулятораПример
Каденция Incisive® nclaunchЗапуск Cadence Incisive из MATLAB
Менторская графика® ModelSim® vsimЗапустите Mentor Graphics ModelSim из MATLAB

В любой функции можно задать библиотеку HDL Verifier, загружаемый проект, тип информации о соединении и другие необходимые параметры в качестве аргументов пары "имя-значение". Специальная настройка не требуется. См. «Библиотеки косимуляции».

Эта функция запускается и конфигурирует Симулятор HDL для использования с программным обеспечением HDL- Verifier™. По умолчанию функция запускает первую версию исполняемого файла симулятора, которую она находит в системном пути, как определено path переменная. Эта функция использует временный файл, который перезаписывается каждый раз, когда запускается HDL-симулятор.

Можно настроить файл запуска и режим связи, которые будут использоваться между MATLAB или Simulink и симулятором HDL, путем определения пар "имя-значение" при вызове функции. Для получения дополнительной информации о свойствах см. nclaunch или vsim.

Чтобы запустить другую версию исполняемого файла симулятора, чем первая, найденная в системном пути, используйте setenv и getenv Функции MATLAB для установки и получения окружения любых подынтерпретаторов, порождаемых UNIX(), DOS(), или system().

Если вы задаете режим связи при вызове одной из функций, открывающих Симулятор HDL, указанный режим применяется ко всем сеансам Симулятора HDL, подключенным к MATLAB или Simulink.

Для получения дополнительной информации о том, как HDL Verifier связывает симулятор HDL с MATLAB, смотрите Ссылку с MATLAB и HDL Simulator.

Полный пример косимуляции, который демонстрирует запуск Симулятора HDL из MATLAB, смотрите Проверьте HDL-модуль с Испытательным стендом MATLAB.

Чтобы диагностировать настройку косимуляции и настроить настройку для будущих вызовов функций, которые открывают Симулятор HDL, следуйте процессу в Set Up Строения и Запуска Diagnostics.

Запустите Cadence Incisive из MATLAB.  Чтобы запустить симулятор Cadence Incisive HDL из MATLAB, в командной строке MATLAB введите:

nclaunch('PropertyName', 'PropertyValue', ...)

Этот пример меняет расположение папки на VHDLproj а затем открывает Incisive®. Потому что командная строка опускает 'hdlsimdir' и 'startupfile' свойства, nclaunch создает временный файл. The 'tclstart' свойство задает команды Tcl, которые загружают и инициализируют Симулятор HDL для испытательного стенда образца modsimrand.

cd VHDLproj
nclaunch('tclstart',...
 'hdlsimmatlab modsimrand; matlabtb modsimrand 10 ns -socket 4449')

Этот пример меняет расположение папки на VHDLproj а затем открывает Incisive. Потому что вызов функции опускает 'hdlsimdir' и 'startupfile' свойства, nclaunch создает временный файл. The 'tclstart' свойство задает команду Tcl, которая загружает VHDL® сущность parse в библиотечных work для косимуляции между nclaunch и Simulink. The 'socketsimulink' свойство задает коммуникацию TCP/IP сокетов на том же компьютере, используя порт 4449.

cd VHDLproj
nclaunch('tclstart', 'hdlsimulink work.parse', 'socketsimulink', '4449')

Запустите Mentor Graphics ModelSim из MATLAB.  Чтобы запустить симулятор Mentor Graphics ModelSim HDL из MATLAB, в командной строке MATLAB введите:

vsim('PropertyName','PropertyValue', ...)

Этот пример меняет расположение папки на VHDLproj и затем открывает ModelSim. Потому что vsim вызов опускает 'vsimdir' и 'startupfile' свойства, функция создает временный DO-файл. The 'tclstart' свойство задает команды Tcl, которые загружают и инициализируют Симулятор HDL для испытательного стенда образца modsimrand.

cd VHDLproj
vsim('tclstart','vsimmatlab modsimrand; matlabtb modsimrand 10 ns -socket 4449')

Этот пример меняет расположение папки на VHDLproj и затем открывает ModelSim. Потому что vsim вызов опускает 'vsimdir' и 'startupfile' свойства, vsim создает временный файл DO. The 'tclstart' свойство задает команду Tcl, которая загружает сущность VHDL parse в библиотечных work для косимуляции между vsim и Simulink. The 'socketsimulink' свойство задает коммуникацию TCP/IP сокетов на том же компьютере, используя порт 4449 сокета.

cd VHDLproj
vsim('tclstart','vsimulink work.parse','socketsimulink','4449')

Этот пример включает команды Tcl, которые запускают HDL-компиляцию и симуляцию при запуске программного обеспечения ModelSim.

vsim('tclstart',{'vlib work','vlog +acc clocked_inverter.v hdl_top.v','vsim +acc hdl_top'});

Этот пример загружает hdl_top модуль для косимуляции Simulink. The vsimulink команда также задает номер сокета 5678 для связи с блоками HDL Cosimulation в моделях Simulink и задает HDL-точность 10 p. Установка сокета таким образом эквивалентно использованию socketsimulink свойство vsim функция.

vsim('tclstart', …
             {'vlib work', 'vlog -voptargs=+acc clocked_inverter.v hdl_top.v', …
              'vsimulink hdl_top -socket 5678 -t 10ps'});

Запустите Симулятор HDL из Shell

Прежде чем вы начнете Симулятор HDL с интерпретатора и включите библиотеки HDL Verifier, сначала запустите строение скрипт. Файл строения, сгенерированный скриптом, сохраняется для будущих сеансов косимуляции. См. «Настройка Строения и запуск диагностики».

Запустите Cadence Incisive из Shell.  Если у вас уже есть файл строения, в окне интерпретатора запустите:

ncsim -f configfile modelname

configfile - имя файла строения. Необходимо также указать путь к файлу строения, даже если он находится в той же папке, что и vsim.exe. Когда вы включаете design_name аргумент, ncsim.exe вызов также запускает симуляцию. Можно также задать любые другие существующие файлы строения, которые вы используете.

Запустите Mentor Graphics ModelSim из Shell.  Если у вас уже есть файл строения, в окне интерпретатора запустите:

vsim design_name -f configfile

configfile - имя файла строения. Необходимо также указать путь к файлу строения, даже если он находится в той же папке, что и vsim.exe. Когда вы включаете design_name аргумент, vsim вызов также запускает симуляцию.

Файл строения задает -foreign опция для vsim. Эта опция загружает общую библиотеку HDL Verifier и задает ее точку входа. Можно также задать любые другие существующие файлы строения, которые вы используете.

Если вы не используете сгенерированный файл config, чтобы загрузить общую библиотеку клиента и указать ее точку входа, откройте vsim с такой командой:

vsim design_name -foreign matlabclient /path/library

где path - путь к библиотеке косимуляции HDL Verifier. Чтобы найти подходящее имя библиотеки для компьютера, см. раздел Библиотеки косимуляции.

Примечание

Можно также вызвать эту команду из Симулятора HDL.

Библиотеки косимуляции

Рекомендуется использовать один и тот же компилятор для всех библиотек, связанных в один исполняемый файл. Версии библиотеки HDL Verifier для компиляторов, поддерживаемых Симуляторами HDL. Использование тех же библиотек помогает программному обеспечению косимуляции оставаться совместимым с другими библиотеками C++, которые можно связать с симулятором HDL, включая библиотеки SystemC.

Если применимо какое-либо из следующих условий, выберите версию библиотеки HDL Verifier, которая соответствует компилятору, используемому для этого кода:

  • Вы связываете другие сторонние приложения с вашими Симуляторами HDL.

  • Вы компилируете и связываете код SystemC как часть вашего проекта или испытательного стенда.

  • Вы связываете пользовательские приложения C/C + + с HDL-симулятором.

Если вы не связываете какой-либо другой код с вашим Симулятором HDL, можно использовать любую версию поставляемых библиотек. Функция для открытия Симулятора HDL (nclaunch или vsim) выбирает версию этой библиотеки по умолчанию.

Для примеров определения библиотек HDL Verifier при косимуляции по сети смотрите Cross-Network Cosimulation.

Формат именования библиотеки

Библиотеки косимуляции HDL Verifier используют следующий формат именования:

edalink/extensions/{version}/{arch}/lib{version_short_name}{client_server_tag}_{compiler_tag).{libext}
 
АргументРезцовые значенияЗначения ModelSim
versionincisivemodelsim
archlinux64linux64, windows32, или windows64
version_short_name lfihdllfmhdl
client_server_tagMATLAB: c
Simulink: s
MATLAB: c
Simulink: s
compiler_taggcc41, gcc44

Linux: gcc433, gcc450vc12
Windows 32: gcc421vc12
Windows 64: gcc450vc12, tmwvs

Примечание

Для gcc450vc12 или gcc421vc12 требуется Visual Studio® Перераспределение 2013 года, доступно от Microsoft®.

libextsodll или so

Поддерживаются не все комбинации. Допустимые комбинации см. в разделе Библиотеки по умолчанию.

Для получения дополнительной информации о компиляторах сборки MATLAB, см. MATLAB Компиляторы сборки.

Библиотеки по умолчанию

HDL Verifier скриптов полностью поддерживать использование библиотек по умолчанию. В таблице перечислены библиотеки, поставляемые с HDL Verifier для каждого поддерживаемого Симулятора HDL. Библиотеки по умолчанию для каждой платформы выделены жирным шрифтом.

Библиотеки Cadence Incisive

ПлатформаБиблиотека MATLABБиблиотека Simulink
Linux 64

liblfihdlc_gcc41.so
liblfihdlc_gcc44.so

liblfihdls_gcc41.so
liblfihdls_gcc44.so

Библиотеки Mentor Graphics ModelSim

ПлатформаБиблиотека MATLABБиблиотека Simulink
Linux 64

liblfmhdlc_gcc433.so
liblfmhdls_gcc450.dll

liblfmhdls_gcc433.so
liblfmhdls_gcc450.dll

Windows 32

liblfmhdlc_gcc421vc12.dll

liblfmhdls_gcc421vc12.dll

Windows 64liblfmhdlc_tmwvs.dll
liblfmhdlc_gcc450.dll
liblfmhdls_tmwvs.dll
liblfmhdls_gcc450.dll

Библиотеки альтернативных Симуляторов HDL

Можно использовать другую библиотеку на стороне HDL, задав libfile Пара "имя-значение", когда вы вызываете nclaunch или vsim функция. Выберите версию библиотеки, которая соответствует компилятору и системным библиотекам, которые вы используете для любых других библиотек C/C + +, связанных с HDL-симулятором. В зависимости от версии вашего Симулятора HDL, вам может потребоваться явно задать дополнительные пути в LD_LIBRARY_PATH переменная окружения.

Например, чтобы использовать библиотеку без параметров:

  1. Скопируйте системные библиотеки из установки MATLAB на машину с Симулятора HDL. Системные библиотеки установлены в matlabroot/ sys/os.

  2. Измените LD_LIBRARY_PATH переменная окружения, чтобы добавить путь к скопированным системным библиотекам.

Настройка альтернативной библиотеки с помощью nclaunch.  В этом примере показаны настройки библиотеки для HDL- симуляции, которая соединяется в пользовательском приложении C++, скомпилированном с gcc44. Поэтому симулятор должен использовать библиотеки косимуляции, скомпилированные с gcc44, вместо использования библиотеки по умолчанию. И MATLAB, и Incisive работают на одной и той же 64-разрядной машине Linux.

Измените PATH переменная так, что nclaunch функция находит нужную версию симулятора HDL. Затем укажите имя библиотеки с libfile Пара "имя-значение". В командной строке MATLAB введите:

currPath = getenv('PATH');
setenv('PATH',['/tools/IUS-1110/bin:' currPath]);
nclaunch('tclstart',{'exec ncvhdl -64bit inverter.vhd', ...
                     'exec ncelab -64bit -access +rwc inverter', ...
                     'hdlsimulink -gui inverter' }, ...
                     'libfile','liblfihdls_gcc44');

Проверьте разрешение библиотеки с помощью ldd из ncsim консоль.

exec ldd /path/to/matlab/toolbox/edalink/extensions/incisive/linux64/liblfihdls_gcc44.so
        linux-vdso.so.1 =>  (0x00007fff2ffff000)
        libpthread.so.0 => /lib/x86_64-linux-gnu/libpthread.so.0 (0x00007f98361a0000)
        libstdc++.so.6 => /usr/lib/x86_64-linux-gnu/libstdc++.so.6 (0x00007f9835e99000)
        libm.so.6 => /lib/x86_64-linux-gnu/libm.so.6 (0x00007f9835c16000)
        libgcc_s.so.1 => /lib/x86_64-linux-gnu/libgcc_s.so.1 (0x00007f9835a00000)
        libc.so.6 => /lib/x86_64-linux-gnu/libc.so.6 (0x00007f9835676000)
        /lib64/ld-linux-x86-64.so.2 (0x00007f983661c000)

Укажите альтернативную библиотеку для Incisive Using System Shell.  В этом примере показано, как запустить Incisive с явной опцией для задания библиотеки косимуляции. Можно запустить Incisive из системного интерпретатора на той же машине, что и MATLAB, на другой машине или на машине с другой операционной системой.

Этот пример кода работает на 64-разрядной версии Linux Incisive. Не имеет значения, на какой машине работает MATLAB. Вместо использования библиотеки по умолчанию в распределение Incisive в этом примере используется библиотека, скомпилированная с GCC 4.4.

Измените PATH переменная, чтобы указать на нужную версию симулятора HDL. Хотя ncsim находит любые библиотеки GCC в установке, этот пример меняет LD_LIBRARY_PATH чтобы показать, как использовать пользовательскую установку GCC. В csh-совместимом системном интерпретаторе введите:

setenv PATH /tools/ius/lnx/tools/bin/64bit:${PATH}
setenv LD_LIBRARY_PATH /tools/ius/lnx/tools/systemc/gcc/4.4-x86_64/install/lib64:${LD_LIBRARY_PATH}
ncvhdl -64bit inverter.vhd
ncelab -64bit -access +rwc inverter
ncsim -tcl -loadvpi /tools/matlab/toolbox/edalink/extensions/incisive/linux64/liblfihdlc_gcc44:matlabclient inverter.vhd

Проверить разрешение библиотеки можно используя ldd, как в предыдущем примере.

Настройка альтернативной библиотеки с помощью vsim.  В этом примере показаны настройки библиотеки для HDL- симуляции, которая использует некоторые приложения SystemC, скомпилированные с gcc450. Вы можете загрузить эту версию GCC со связанными системными библиотеками из Mentor Graphics. Поэтому симулятор должен использовать библиотеки косимуляции, скомпилированные с gcc450, вместо использования библиотеки по умолчанию. MATLAB и ModelSim работают на одной и той же 64-разрядной машине Linux.

Измените PATH переменная так, что vsim функция находит нужную версию симулятора HDL. Измените LD_LIBRARY_PATH поскольку симулятор HDL не добавляет путь к системным библиотекам. Затем укажите имя библиотеки с libfile Пара "имя-значение". В командной строке MATLAB введите:

currPath = getenv('PATH');
currLdPath = getenv('LD_LIBRARY_PATH');
setenv('PATH',['/tools/modelsim-10.1c/bin:' currPath]);
setenv('LD_LIBRARY_PATH',['/tools/modelsim-10.1c/gcc-4.5.0-linux/lib:' currLdPath]);
vsim('tclstart',{'vlib work','vcom inverter.vhd','vsimulink inverter'}, ...
       'libfile','liblfmhdls_gcc450');

Проверьте разрешение библиотеки с помощью ldd из vsim Графический интерфейс пользователя.

exec ldd /path/to/matlab/toolbox/edalink/extensions/modelsim/linux64/liblfmhdls_gcc450.so 
        linux-vdso.so.1 =>  (0x00007fff06652000)
        libpthread.so.0 => /lib/x86_64-linux-gnu/libpthread.so.0 (0x00007f505083d000)
        libstdc++.so.6 => /usr/lib/x86_64-linux-gnu/libstdc++.so.6 (0x00007f5050536000)
        libm.so.6 => /lib/x86_64-linux-gnu/libm.so.6 (0x00007f50502b3000)
        libgcc_s.so.1 => /lib/x86_64-linux-gnu/libgcc_s.so.1 (0x00007f505009d000)
        libc.so.6 => /lib/x86_64-linux-gnu/libc.so.6 (0x00007f504fd13000)
        /lib64/ld-linux-x86-64.so.2 (0x00007f5050cb8000)

Настройка альтернативной библиотеки ModelSim с использованием системного Shell.  В этом примере показано, как запустить ModelSim с явной опцией для определения библиотеки косимуляции. Можно запустить ModelSim из системного интерпретатора на той же машине, что и MATLAB, на другой машине или на машине с другой операционной системой.

Этот пример кода работает на 64-разрядной версии Linux ModelSim. Не имеет значения, на какой машине работает MATLAB. Вместо использования библиотеки по умолчанию в распределение ModelSim в этом примере используется библиотека, скомпилированная с GCC 4.5.0. Вы можете загрузить эту версию GCC со связанными системными библиотеками из Mentor Graphics.

Измените PATH переменная, чтобы указать на нужную версию симулятора HDL. Измените LD_LIBRARY_PATH поскольку симулятор HDL не добавляет путь к системным библиотекам, если только вы не сохраняли GCC в корне установки ModelSim. В csh-совместимом системном интерпретаторе введите:

setenv PATH /tools/questasim/bin:${PATH}
setenv LD_LIBRARY_PATH /tools/mtigcc/gcc-4.5.0-linux_x86_64/lib64:${LD_LIBRARY_PATH}
setenv MTI_VCO_MODE 64
vlib work
vcom +acc+inverter inverter.vhd
vsim +acc+inverter -foreign \
     "matlabclient /tools/matlab/toolbox/edalink/extensions/modelsim/linux64/liblfmhdlc_gcc450.so" \
      work.inverter

Проверить разрешение библиотеки можно используя ldd, как в предыдущем примере.

Настройте строение и запустите диагностику

Если ваш Симулятор HDL установлен в UNIX® или Linux, можно использовать управляемый скрипт настройки (syscheckmq для ModelSim и syscheckin for Incisive), чтобы сконфигурировать соединения MATLAB и Simulink к своему симулятору. Этот скрипт работает, установлены ли HDL Verifier и MATLAB на одной машине с Симулятора HDL или на разных машинах. Скрипт настройки создает файл строения, содержащий расположение указанных библиотек косимуляции для MATLAB и Simulink. Можно также использовать скрипт настройки, чтобы помочь диагностировать проблемы с подключением или библиотекой.

Для симуляторов Windows HDL можно вручную создать файл строения, следуя инструкциям в Create Configuration Files for Windows.

Затем можно включить этот файл, когда вы начнете Симулятор HDL. Вам нужно запустить этот скрипт только один раз.

Вы можете найти скрипты настройки в этой папке:

matlabroot/toolbox/edalink/foundation/hdllink/scripts

Дополнительные сведения о библиотеках см. в разделе Библиотеки косимуляции.

После создания файлов строения см. Раздел «Запуск Симулятора HDL с Shell».

Запустите скрипт строения и диагностики для UNIX или Linux

В этом примере показано, как запустить скрипт настройки и протестировать соединение TCP/IP с этими условиями:

  • HDL Verifier установлен на 64-разрядном компьютере Linux.

  • Библиотеки HDL Verifier были перемещены в папку, отличную от папки по умолчанию. Кроме того, вы переместили их на другую машину, отличную от установки MATLAB.

Cadence Incisive (syscheckin).  Запустите скрипт, набрав syscheckin в системной подсказке. Система возвращает следующую информацию:

% syscheckin
********************************************************************************

Kernel name: Linux
Kernel release: 2.6.22.8-mw017
Machine: x86_64
********************************************************************************

Скрипт сначала возвращает расположение Симулятора HDL установки (ncsim.exe). Если установка не найдена, появляется сообщение об ошибке. Укажите путь к установке или закройте скрипт и установите Симулятор HDL. Затем вам будет предложено принять эту установку или указать путь к другой, после чего вы получите сообщение, подтверждающее Симулятору HDL установку:

Found /hub/share/apps/HDLTools/IUS/IUS-61-tmw-000/lnx/tools/bin/64bit/ncsim on the path.
Press Enter to use the path we found or enter another one:

********************************************************************************

/hub/share/apps/HDLTools/IUS/IUS-61-tmw-000/lnx/tools/bin/64bit/ncsim -version
TOOL: ncsim(64) 06.11-s005
Cadence Incisive mode: 64 bits
********************************************************************************

Затем укажите, где скрипт может найти библиотеки HDL Verifier.

Select method to search for HDL Verifier libraries:
1. Use libraries in a MATLAB installation.
2. Prompt me to specify the direct path to the libraries.
2
Enter the path to liblfihdlc_gcc44.so and liblfihdls_gcc44.so:
tmp/extensions/incisive/linux64
Found /tmp/extensions/incisive/linux64/liblfihdlc_gcc44.so
and /tmp/extensions/incisive/linux64/liblfihdls_gcc44.so.

Затем скрипт запускает проверку зависимостей для проверки на наличие вспомогательных библиотек. Если он не может найти библиотеки, добавьте путь к окружению, чтобы найти их.

********************************************************************************

Running dependency checker "ldd /tmp/extensions/incisive/linux64/liblfihdlc_gcc44.so".
Dependency checker passed.
Dependency status:
     librt.so.1 => /lib/librt.so.1 (0x00002b6119631000)
     libstdc++.so.5 => /usr/lib/libstdc++.so.5 (0x00002b611973a000)
     libm.so.6 => /lib/libm.so.6 (0x00002b6119916000)
     libgcc_s.so.1 => /lib/libgcc_s.so.1 (0x00002b6119a99000)
     libc.so.6 => /lib/libc.so.6 (0x00002b6119ba6000)
     libpthread.so.0 => /lib/libpthread.so.0 (0x00002b6119de3000)
     /lib64/ld-linux-x86-64.so.2 (0x0000555555554000)
********************************************************************************

Затем скрипт загружает библиотеки HDL Verifier и компилирует тестовый модуль, чтобы убедиться, что библиотеки загружены должным образом.

Press Enter to load HDL Verifier or enter 'n' to skip this test:

ncvlog(64): 06.11-s005: (c) Copyright 1995-2007 Cadence Design Systems, Inc.
define linux64 /work/matlab/toolbox/incisive/linux64
.
.
.
ncsim> exit

********************************************************************************

HDL Verifier libraries loaded successfully.
********************************************************************************

Затем скрипт проверяет наличие соединения TCP. Если этот шаг пропущен, файл строения задает использование общей памяти. Как общая память, так и строения сокета находятся в файле строения. В зависимости от вашего выбора, одно или другое строение комментируется.

Press Enter to check for TCP connection or enter 'n' to skip this test:

Enter an available port [5001]

Enter remote host [localhost]

Press Enter to continue

ttcp_glnx -t -p5001 localhost
Connection successful

Наконец, скрипт создает файлы строения. Он создает файлы и для MATLAB, и для Simulink.

********************************************************************************

Press Enter to Create Configuration files or 'n' to skip this step:

********************************************************************************

Created template files simulink9675.arg and matlab8675.arg. Inspect and modify
if desired.
********************************************************************************

Diagnosis Completed

Имена файлов различаются каждый раз, когда вы запускаете этот скрипт.

После того, как скрипт будет завершен, можно оставить строение файлы там, где они находятся, или переместить их в удобное место. Теперь используйте файл, когда вы запускаете Симулятор HDL из Shell.

Mentor Graphics ModelSim (syscheckmq).  Запустите скрипт, набрав syscheckmq в системной подсказке. Система возвращает следующую информацию:

syscheckmq
********************************************************************************

Kernel name: Linux
Kernel release: 2.6.22.8-mw017
Machine: x86_64
********************************************************************************

Скрипт сначала возвращает расположение Симулятора HDL установки (vsim.exe). Если установка не найдена, появляется сообщение об ошибке. Укажите путь к установке или закройте скрипт и установите Симулятор HDL. После этого вам будет предложено принять эту установку или указать путь к другой, после чего вы получите сообщение, подтверждающее Симулятору HDL установку.

Found /hub/share/apps/HDLTools/ModelSim/modelsim-6.4a-tmw-000/modeltech/bin/vsim
    on the path.
Press Enter to use the path we found or enter another one:

********************************************************************************

/hub/share/apps/HDLTools/ModelSim/modelsim-6.4a-tmw-000/modeltech/bin/vsim -version
Model Technology ModelSim SE-64 vsim 6.4a Simulator 2008.08 Aug 28 2008
ModelSim mode: 32 bits
********************************************************************************

Затем укажите, где скрипт может найти библиотеки HDL Verifier.

Select method to search for HDL Verifier libraries:
1. Use libraries in a MATLAB installation.
2. Prompt me to specify the direct path to the libraries.
2
Enter the path to liblfmhdlc_gcc450.so and liblfmhdls_gcc450.so:
/tmp/extensions/modelsim/linux64
Found /tmp/extensions/modelsim/linux64/liblfmhdlc_gcc450.so
and /tmp/extensions/modelsim/linux64/liblfmhdls_gcc450.so.

Затем скрипт запускает проверку зависимостей для проверки на наличие вспомогательных библиотек. Если он не может найти библиотеки, добавьте путь к окружению, чтобы найти их.

********************************************************************************

Running dependency checker "ldd /tmp/extensions/modelsim/linux64/liblfmhdlc_gcc450.so".
Dependency checker passed.
Dependency status:
        librt.so.1 => /lib/librt.so.1 (0x00002acfe566e000)
        libstdc++.so.6 => /usr/lib/libstdc++.so.6 (0x00002acfe5778000)
        libm.so.6 => /lib/libm.so.6 (0x00002acfe5976000)
        libgcc_s.so.1 => /lib/libgcc_s.so.1 (0x00002acfe5af8000)
        libc.so.6 => /lib/libc.so.6 (0x00002acfe5c6000)
        /lib64/ld-linux-x86-64.so.2 (0x0000555555554000)
********************************************************************************

Затем скрипт загружает библиотеки HDL Verifier и компилирует тестовый модуль, чтобы убедиться, что библиотеки загружены должным образом.

Press Enter to load HDL Verifier or enter 'n' to skip this test:

Reading /hub/share/apps/HDLTools/ModelSim/modelsim-6.4a-tmw-000/se/modeltech/
    linux_x86_64/../modelsim.ini "worklfx9019" maps to directory worklfx9019. 
    (Default mapping)
Model Technology ModelSim SE-64 vlog 6.4a Compiler 2008.08 Aug 28 2008
-- Compiling module d9019

Top level modules:
        d9019

********************************************************************************

Reading /hub/share/apps/HDLTools/ModelSim/modelsim-6.4a-tmw-000/se/modeltech/tcl
    /vsim/pref.tcl 

# 6.4a

# vsim -do exit -foreign {matlabclient /tmp/lfmconfig/linux64/liblfmhdlc_gcc450.so} 
      -noautoldlibpath -c worklfx9019.d9019
# //  ModelSim SE-64 6.4a Aug 28 Linux 2.6.22.8-mw017
.
.
.
# Loading work.d9019
# Loading /tmp/lfmconfig/linux64/liblfmhdlc_gcc450.so
# exit 

********************************************************************************

HDL Verifier libraries loaded successfully.
********************************************************************************

Затем скрипт проверяет наличие соединения TCP. Если этот шаг пропущен, файл строения задает использование общей памяти. Как общая память, так и строения сокета находятся в файле строения. В зависимости от вашего выбора, одно или другое строение комментируется.

Press Enter to check for TCP connection or enter 'n' to skip this test:

Enter an available port [5001]

Enter remote host [localhost]

Press Enter to continue

ttcp_glnx -t -p5001 localhost
Connection successful

Наконец, скрипт создает файлы строения. Он создает файлы и для MATLAB, и для Simulink.

********************************************************************************

Press Enter to Create Configuration files or 'n' to skip this step:

********************************************************************************

Created template files simulink9675.arg and matlab8675.arg. Inspect and modify
if desired.
********************************************************************************

Diagnosis Completed

Имена файлов различаются каждый раз, когда вы запускаете этот скрипт.

После того, как скрипт будет завершен, можно оставить строение файлы там, где они находятся, или переместить их в удобное место. Теперь используйте файл, когда вы запускаете Симулятор HDL из Shell.

Создайте файлы строения для Windows

Скрипт настройки не запускается в Windows. Однако, если ваш Симулятор HDL запуски в Windows, можно вручную создать строение файлы. Для Windows поддерживается только симулятор ModelSim HDL.

Файл строения должен содержать -foreign аргумент, используемый для вызовов для vsim. Укажите путь к общей библиотеке HDL Verifier, которую необходимо вызвать. См. Библиотеки Косимуляции. Строки с комментариями, отмеченные //, являются необязательными.

Для получения дополнительной информации о -foreign опция приведена в документации ModelSim.

  • Создайте файл строения MATLAB:

    //Command file for HDL Verifier MATLAB library
    //for use with Mentor Graphics ModelSim.
    //Loading of foreign Library, usage example: vsim -f matlab14455.arg entity.
    //You can manually change the following line to point to the applicable library.
    //The default location of the 32-bit Windows library is at
    //MATLABROOT/toolbox/edalink/extensions/modelsim/windows32/liblfmhdlc_gcc421vc12.dll.
    
    -foreign "matlabclient c:/path/liblfmhdlc_gcc421vc12.dll"
  • Создайте файл строения Simulink:

    //Command file for  HDL Verifier Simulink library
    //for use with Mentor Graphics ModelSim.
    //Loading of foreign Library, usage example: vsim -f simulink14455.arg entity.
    //You can manually change the following line to point to the applicable library.
    //For example the default location of the 32-bit Windows library is at
    //MATLABROOT/toolbox/edalink/extensions/modelsim/windows32/liblfmhdls_gcc421vc12.dll.
    
    //For socket connection uncomment and modify the following line:
    -foreign "simlinkserver c:/path/liblfmhdls_gcc421vc12.dll  ; -socket 5001"
    
    //For shared connection uncomment and modify the following line:
    //-foreign "simlinkserver c:/path/liblfmhdls_gcc421vc12.dll"

    Примечание

    Этот пример показывает -foreign синтаксис как для соединений с общей памятью, так и для соединений с сокетами. Закомментируйте, какой тип связи вы не используете. Если вы используете соединение разъема TCP/IP, подтвердите, что номер порта, используемый в этом файле строения, доступен.

Сохраните файл строения в удобном месте. Теперь используйте файл, когда вы запускаете Симулятор HDL из Shell.

См. также

Функции

Блоки

Похожие темы

Для просмотра документации необходимо авторизоваться на сайте