Проверяйте разрешение сигнала с блоком Check Input Resolution

Можно использовать блок Check Input Resolution, чтобы проверить, имеет ли сигнал указанное разрешение. Блок может помочь проверить, квантован ли сигнал в пределах спецификации, например, при проверке выхода аналого-цифрового преобразователя.

В этом примере блок «Лестница повторяющейся последовательности» выводит значения [0 1 2 2,5 3] последовательно, начиная с 0. Во время симуляции блок выводит каждое значение в векторе в течение времени 1.

Во-первых, блок Входа Разрешения Check вычисляет модуль путем вычисления оставшейся части входа значения над значением параметра Разрешения, который установлен в 2.5. Чтобы проиллюстрировать это вычисление, этот пример также использует блок Math Function, помеченный как Modulus, с набором параметров Function, чтобы mod. Блок Modulus принимает значение блока Repease Sequence Stair над значением блока Constant, помеченного как разрешение, которое имеет то же значение, что и параметр Resolution.

Затем блок Check Input Resolution проверяет, меньше ли модуль допуска 0.01. Если это так, блок утверждает true (1). Поскольку выбран параметр Output assertion signal блока Check Input Resolution Block, блок выводит значение assertion. Запустите симуляцию, чтобы наблюдать выход модели, или используйте кнопку Step Forward, чтобы пройти через каждый шаг.

Когда вы запускаете модель, блок Scope строит график выхода блока Reputing Sequence Stair, выхода блока Modulus и выхода блока Check Input Resolution. Если вы используете кнопку Шаг вперед, данные заполняют три блока Display на каждом временном шаге.

  1. Первоначально модуль блока Reputing Sequence Stair над разрешением 0, поэтому блок Check Input Resolution выводит 1.

  2. Когда время симуляции достигает 1, блок «Лестница повторяющейся последовательности» выходов 1. Модуль повышается до 1, что больше допуска, из-за чего блок Check Input Resolution выводит 0.

  3. Утверждение остается на 0 пока время не 3, когда блок «Лестница повторяющейся последовательности» выходов 2.5. Модуль падает до 0, и заявка возвращается к 1.

  4. Наконец, блок «Лестница повторяющейся последовательности» выводит 3 когда время 4, что приводит к установке 1.

Модель повторяет этот шаблон до момента окончания симуляции.

См. также

| |

Для просмотра документации необходимо авторизоваться на сайте