Divide by Constant HDL Optimized

Разделите введенный константой и вокруг до целого числа и сгенерируйте оптимизированный HDL-код

  • Библиотека:
  • Поддержка HDL Fixed-Point Designer / Математические операции

  • Divide by Constant HDL Optimized block

Описание

Блок Divide by Constant HDL Optimized выводит результат деления входа константой и округляет результат до целого числа с помощью заданного метода округления с помощью оптимизированной архитектуры HDL с истинной для цикла задержкой.

Блок Divide by Constant HDL Optimized использует алгоритм, который функционально похож на Метод Granlund-Montgomery-Warren. Операция деления вычисляется через умножение инверсией, которая обычно приводит к лучшей эффективности на встраиваемых системах.

Порты

Входной параметр

развернуть все

Дивиденд в виде действительного скаляра.

Типы данных: single | double | int8 | int16 | int32 | uint8 | uint16 | uint32 | Boolean | fixed point

Допустимо ли введенный в виде булева скаляра. Этот управляющий сигнал указывает, когда данные из входного порта X допустимы. Когда этим значением является 1 TRUE), блок получает значение на входном порте X. Когда этим значением является 0 ложь), блок игнорирует входные выборки.

Типы данных: Boolean

Вывод

развернуть все

Результат деления и круглой операции, возвращенной как скаляр.

Типы данных: single | double | int8 | int16 | int32 | uint8 | uint16 | uint32 | Boolean | fixed point

Допустимы ли выходные данные, возвращенные как булев скаляр. Когда значением этого управляющего сигнала является 1 TRUE), блок успешно вычислил выход Y. Когда этим значением является 0 ложь), выходные данные не допустимо.

Типы данных: Boolean

Параметры

развернуть все

Делитель в виде положительного, конечного скаляра с действительным знаком.

Программируемое использование

Параметры блоков: Denominator
Ввод: символьный вектор
Значения: MATLAB® выражение, которое оценивает к положительной, конечной фиксированной точке с действительным знаком или числовому значению
Значение по умолчанию: '10'

Типы данных: single | double | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point

Округление метода, чтобы использовать в виде одного из этих значений:

  • Floor — Вокруг до ближайшего целого числа в направлении отрицательной бесконечности.

  • Ceiling — Вокруг до ближайшего целого числа в направлении положительной бесконечности.

  • Nearest — Вокруг до ближайшего целого числа. Связи округлены до ближайшего целого числа в направлении положительной бесконечности.

  • Zero — Вокруг до ближайшего целого числа в направлении нуля.

  • Convergent — Вокруг до ближайшего целого числа. Связи округлены к самому близкому даже целое число.

Программируемое использование

Параметры блоков: RndMeth
Ввод: символьный вектор
Значения: 'Floor' | 'Ceiling' | 'Nearest' | 'Zero' | 'Convergent'
Значение по умолчанию: 'Floor'

Советы

Блоки Divide by Constant HDL Optimized, Real Divide HDL Optimized и Complex Divide HDL Optimized все выполняют операцию деления и генерируют оптимизированный HDL-код.

  • Real Divide HDL Optimized и Complex Divide HDL Optimized основаны на алгоритме CORIDC. Эти блоки принимают большое разнообразие входных параметров, но приведут к большей задержке.

  • Divide by Constant HDL Optimized принимает только действительные входные параметры и постоянный делитель. Использование этого блока использует срезы DSP, но завершит операцию деления в меньшем количестве циклов и на более высокой тактовой частоте.

Алгоритмы

Divide by Constant HDL Optimized использует оптимизированную архитектуру HDL с истинной для цикла задержкой.

Блок Divide by Constant HDL Optimized использует алгоритм, который функционально похож на Метод Granlund-Montgomery-Warren. Операция деления вычисляется через умножение инверсией, которая обычно приводит к лучшей эффективности на встраиваемых системах.

Расширенные возможности

Введенный в R2021a
Для просмотра документации необходимо авторизоваться на сайте