MATLAB Cosimulation

HDL-код Cosimulate с MATLAB®

Приложения

Cosimulation WizardСгенерируйте блок cosimulation или Системный объект из существующих файлов HDL

Функции

развернуть все

nclaunchЗапустите и сконфигурируйте Тактовый сигнал Острые средства моделирования для использования с программным обеспечением HDL Verifier
vsimЗапустите и сконфигурируйте ModelSim для использования с HDL Verifier
hdlsimmatlabЗагрузите инстанцированный модуль HDL для верификации с Острым Тактовым сигналом и MATLAB
hdlsimmatlabsysobjЗагрузите инстанцированный модуль HDL для cosimulation с Острым Тактовым сигналом и Системный объект MATLAB
matlabcpСопоставьте функцию компонента MATLAB с инстанцированным проектом HDL
matlabtbЗапланируйте сеанс испытательного стенда MATLAB для инстанцированного модуля HDL
nomatlabtbЗакончите активный испытательный стенд MATLAB и сеансы компонента MATLAB
matlabtbevalВызовите функцию MATLAB однажды и сразу от имени инстанцированного модуля HDL
vsimmatlabЗагрузите инстанцированный модуль HDL для верификации с ModelSim и MATLAB
vsimmatlabsysobjЗагрузите инстанцированный модуль HDL для cosimulation с Системным объектом MATLAB и ModelSim
hdldaemonУправляйте сервером MATLAB, который поддерживает взаимодействия с симулятором HDL
notifyMatlabServerОтправьте идентификатор события симулятора HDL и ID процесса к серверу MATLAB
pingHdlSimБлокируйте cosimulation, пока симулятор HDL не будет готов
waitForHdlClientОжидайте, пока заданный идентификатор события не получен, или тайм-аут происходит
dec2mvlПреобразуйте десятичное число в бинарный вектор символов
mvl2decПреобразуйте многозначную логику в десятичное число

Объекты

hdlverifier.HDLCosimulationСоздайте Системный объект для HDL cosimulation с MATLAB

Темы

Запуск и связь

Настройте для HDL Cosimulation

К cosimulate ваш HDL-код с MATLAB или Simulink® спроектируйте, вы должны сначала:

Поддерживаемые инструменты EDA и оборудование

Список поддерживаемого стороннего программного обеспечения EDA и плат FPGA.

Настройте связь MATLAB-HDL

Cosimulation требует связи между MATLAB и вашим инструментом симуляции HDL.

Порты Сокета TCP/IP

Обеспечивает некоторое направление для выбора портов сокета TCP/IP.

Перекрестный сетевой Cosimulation

Предоставляет инструкции для выполнения cosimulation через локальную сеть.

Запустите MATLAB-HDL Cosimulation

Запустите свой испытательный стенд или компонент, включая cosimulation вашего модуля HDL.

Cosimulation с системным объектом

Создайте системный объект MATLAB

Можно проверить модули HDL с помощью HDL Система Cosimulation object™.

Проверьте декодер Витерби Используя системный объект MATLAB и симулятор HDL

Этот пример показывает вам, как использовать Системные объекты MATLAB® и Наставника Graphics® ModelSim®/QuestaSim® или Cadence® Incisive®/Xcelium® к cosimulate Декодер Витерби, реализованный в VHDL.

Импортируйте HDL-код для системного объекта MATLAB

Сгенерируйте Системный объект MATLAB к cosimulate ваш HDL-код.

Cosimulation с функцией

Импортируйте HDL-код для функции MATLAB

Сгенерируйте функцию MATLAB к cosimulate ваш HDL-код.

Испытательный стенд и функциональная запись компонента

Этот раздел объясняет, как вы используете use_instance_obj аргумент для функций MATLAB matlabcp и matlabtb.

Проверьте проект фильтра приподнятого косинуса Используя MATLAB

Этот пример проводит вас по основным шагам для подготовки HDL Verifier™ cosimulation, который использует MATLAB и симулятор HDL.

Автоматическая верификация

Автоматическая верификация сгенерированного HDL-кода из MATLAB

Проверьте сгенерированный HDL-код с помощью сгенерированного cosimulation скрипта.

Проверьте код с испытательным стендом HDL (HDL Coder)

Симулируйте сгенерированный проект под тестом (DUT) HDL с тестовыми векторами от испытательного стенда с помощью заданного инструмента симуляции.

Весь Cosimulation

HDL Cosimulation

Программное обеспечение HDL Verifier состоит из функций MATLAB, Системного объекта MATLAB и библиотеки блоков Simulink, все из которых устанавливают линии связи между симулятором HDL и MATLAB или Simulink.

Подготовьтесь импортировать HDL-код для Cosimulation

Подготовьтесь к cosimulation и выберите ли к cosimulate ваш HDL-код как функция, Системный объект или блок.

Поддерживаемые типы данных

Если ваше приложение HDL должно отправить данные о HDL в функцию MATLAB, вы, возможно, сначала должны преобразовать данные в тип, поддержанный MATLAB и программным обеспечением HDL Verifier.

Условия состязания в симуляторах HDL

Описывает способы избежать условий состязания в оборудовании cosimulations с программным обеспечением MATLAB и Simulink.

Рекомендуемые примеры

Implementing a Spectrum Display Component in MATLAB®

Реализация компонента отображения спектра в MATLAB®

MODSIMSPECDISP показывает, как MATLAB® может использоваться, чтобы реализовать компонент VHDL, который используется, чтобы отобразить спектр сигнала из проекта VHDL в ModelSim®. Этот пример компилирует источник VHDL, содержащий частоту, скачкообразно перемещающую синусоиду, питающую фильтр lowpass, и задает компонент отображения спектра в MATLAB. Компонент MATLAB буферизует свои входные параметры в системы координат 128 выборок и отображает спектры неотфильтрованных и отфильтрованных сигналов, когда симуляция запускается. Этот пример требует, чтобы временная рабочая директория сгенерировала рабочий проект ModelSim VHDL. После создания проекта VHDL этот пример запускает ModelSim (это требует доступа к ModelSim из командной строки). Этот пример использует общую память, чтобы завершить ссылку и поэтому требует, чтобы ModelSim был на том же компьютере как MATLAB. Если проект скомпилирован, симуляция может быть запущена из ModelSim.

Для просмотра документации необходимо авторизоваться на сайте