Настройте связь MATLAB-HDL

Запустите сервер MATLAB

Запустите MATLAB® сервер можно следующим образом:

  1. StartMatlab.

  2. В командном окне MATLAB вызовите hdldaemon функция с именем свойства / пары значения свойства, которые задают, должно ли программное обеспечение HDL Verifier™ выполнить следующие задачи:

    • Используйте общую память или коммуникацию сокета TCP/IP

    • Возвратите временные стоимости в секундах или как 64-битные целые числа

Смотрите hdldaemon справочная документация, для когда и как задать имя свойства / пары значения свойства и для большего количества примеров использования hdldaemon.

Коммуникационный режим, который вы задаете (общая память или сокеты TCP/IP) должен совпадать с тем, что вы задаете для коммуникационного режима, когда вы инициализируете симулятор HDL для использования с MATLAB cosimulation сеанс с помощью matlabtb или matlabcp функция. Кроме того, если вы задаете режим сокета TCP/IP, порт сокета, с которым вы задаете hdldaemon и matlabtb или matlabcp должен соответствовать. Смотрите Порты Сокета TCP/IP для получения дополнительной информации.

Сервер MATLAB может обслужить несколько одновременных модулей симулятора HDL и клиентов. Однако ваш код должен отследить ввод-вывод, сопоставленный с каждой сущностью или клиентом.

Примечание

Вы не можете начать транзакцию HDL Verifier между MATLAB и симулятором HDL из MATLAB. Сервер MATLAB просто отвечает на запросы вызова функции, что он получает от симулятора HDL.

Это наборы команд снабжают коммуникацию сокетом на порте 4449, и задает 64-битный формат разрешения времени для выходных портов функции MATLAB.

hdldaemon('socket',4449,'time','int64')

Запустите симулятор HDL

Запустите симулятор HDL непосредственно с MATLAB путем вызывания функции HDL Verifier vsim или nclaunch.

>>vsim

Можно вызвать vsim или nclaunch дополнительными параметрами; смотрите страницы с описанием для деталей.

Необходимо убедиться исполняемые файлы симулятора HDL — также названный vsim (ModelSim®) и nclaunch (Острый тактовый сигнал®) — находятся на системном пути. См. свою документацию по системе для инструкции относительно установки переменных окружения.

Пользователи Linux

Убедитесь, что исполняемый файл симулятора HDL находится все еще на системном пути после того, как интерпретатор запускается из MATLAB. Если это не, убедитесь, что файл запуска интерпретатора не удаляет его из переменной окружения пути.

Загрузите проект HDL для верификации

После того, как вы запускаете симулятор HDL с MATLAB с вызовом vsim или nclaunch, загрузите экземпляр модуля HDL для верификации или визуализации с функцией vsimmatlab или hdlsimmatlab. На данном этапе необходимо было закодировать и скомпилировать модель HDL. Выпустите функцию vsimmatlab или hdlsimmatlab для каждого экземпляра сущности или модуля в вашей модели, которую вы хотите к cosimulate. Например (для использования с Острым®):

hdlsimmatlab work.osc_top

Эта команда загружает библиотеку HDL Verifier, открывает рабочую область симуляции для osc_top, и отобразите ряд сообщений в командном окне симулятора HDL, когда средство моделирования загружает сущность (см. пример для остающегося кода).

Для просмотра документации необходимо авторизоваться на сайте