Основные принципы генерации кода

Запуск генерации HDL-кода, выбор языка, скрипты генерации HDL-кода

Рабочий процесс Filter Design HDL Coder™ автоматизирует реализацию проектов фильтра в HDL. Во-первых, разработайте фильтр, или вручную или при помощи инструментов DSP System Toolbox™ Filter Designer или Filter Builder. Затем используйте диалоговое окно Generate HDL или функцию generatehdl, чтобы сконфигурировать опции генерации кода и сгенерировать реализацию VHDL или Verilog проекта. Этот рабочий процесс позволяет вам подстроить алгоритмы и модели посредством быстрого прототипирования и экспериментирования при проведении меньшего количества времени на реализации HDL. См. Стартовый Filter Design HDL Coder.

Функции

fdhdltoolОткрытое диалоговое окно Generate HDL
generatehdlСгенерируйте HDL-код для квантованного фильтра

Свойства

Fundamental HDL Code Generation PropertiesНастройте имя фильтра, папку назначения, и задайте выходной язык

Темы

Стартовый Filter Design HDL Coder

Доступ к инструменту Filter Design HDL Coder.

Выбор выходного языка

Выберите свой выходной язык. HDL-код сгенерирован или в VHDL или в Verilog.

Генерация HDL-кода

Если ваш проект фильтра и настройки HDL готовы, генерируют HDL-код для вашего проекта.

Получение настроек генерации кода

Чтобы сохранить ваши настройки генерации кода, можно сгенерировать скрипт, который включает опции, которые вы выбрали.

Закрывание сеанса генерации кода

Сохраните свои установки параметров прежде, чем закрыть сеанс.

Сгенерируйте HDL-код для системных объектов фильтра

Узнайте о специфических особенностях генерации HDL-кода от Системных объектов фильтра.

Популярные примеры

Для просмотра документации необходимо авторизоваться на сайте