settlingtime

Время установления для двухуровневой формы волны

Синтаксис

S = settlingtime(X,D)
S = settlingtime(X,FS,D)
S = settlingtime(X,T,D)
[S,SLEV,SINST] = settlingtime(...)
[S,SLEV,SINST] = settlingtime(...,Name,Value)
settlingtime(...)

Описание

S = settlingtime(X,D) возвращает время, S, от середины момента контрольного уровня к моменту времени, который каждый переход вводит и остается в 2%-й области допуска конечного состояния по длительности, D. D является положительной скалярной величиной. Поскольку settlingtime использует интерполяцию, чтобы определить середину момента контрольного уровня, S может содержать значения, которые не соответствуют выборке моментов. Длина S равна количеству обнаруженных переходов во входном сигнале, X. Если для какого-либо перехода, уровень формы волны не остается в более низких и верхних контурах допуска, требуемая длительность не присутствует, или прошедший переход обнаруживается, settlingtime отмечает соответствующий элемент в S как NaN. Смотрите Обосновываются, Ищут Длительность для случаев, в которых settlingtime возвращает NaN. Чтобы определить переходы, settlingtime оценивает государственные уровни входной формы волны методом гистограммы. settlingtime идентифицирует все области, которые пересекают верхнюю государственную границу низкого состояния и более низкую государственную границу высокого состояния. Низкое состояние и высокие государственные границы выражаются как государственный уровень плюс или минус кратное различию между государственными уровнями. Смотрите Допуски Государственного уровня.

S = settlingtime(X,FS,D) задает частоту дискретизации для двухуровневой формы волны, X в герц. Первый демонстрационный момент в X равен t = 0. Поскольку settlingtime использует интерполяцию, чтобы определить середину момента контрольного уровня, S может содержать значения, которые не соответствуют выборке моментов.

S = settlingtime(X,T,D) задает демонстрационные моменты, T, как вектор с тем же числом элементов как X.

[S,SLEV,SINST] = settlingtime(...) возвращает векторы, SLEV и SINST, элементы которого соответствуют уровням и демонстрационные моменты обосновывающихся точек для каждого перехода.

[S,SLEV,SINST] = settlingtime(...,Name,Value) возвращает времена установления, уровни, и соответствующие демонстрационные моменты с дополнительными опциями, заданными одним или несколькими аргументами пары Name,Value.

settlingtime(...) строит сигнал и затемняет области каждого перехода, где время установления вычисляется. График отмечает местоположение времени установления каждого перехода, середина пересечений и связанных контрольных уровней. График также отображает государственные уровни с соответствующими более низкими и верхними контурами допуска.

Входные параметры

X

Двухуровневая форма волны. X является строкой с действительным знаком или вектор-столбцом.

D

Обоснуйтесь - ищут длительность. D является положительной скалярной величиной, которая задает длительность после середины момента контрольного уровня, что settlingtime ищет время установления. Если никакое время установления не происходит в D спустя секунды после того, как середина момента контрольного уровня, settlingtime возвратит NaN. Смотрите Время установления и Обоснуйтесь, Ищут Длительность.

FS

Частота дискретизации в герц.

T

Вектор демонстрационных моментов. Длина T должна равняться длине двухуровневой формы волны, X.

Аргументы в виде пар имя-значение

'MidPercentReferenceLevel'

Середина контрольного уровня как процент амплитуды формы волны. Смотрите Середину Контрольного уровня.

Значение по умолчанию: 50

'StateLevels'

Низкие уровни и высокие государственные уровни. StateLevels является 1 2 вектором с действительным знаком. Первый элемент является низким государственным уровнем. Второй элемент является высоким государственным уровнем. Если вы не задаете низкие уровни и высокие государственные уровни, settlingtime оценивает государственные уровни от входной формы волны с помощью метода гистограммы.

'Tolerance'

Уровни терпимости (понижаются и верхние государственные границы), выраженный как процент. Смотрите Допуски Государственного уровня.

Значение по умолчанию: 2

Выходные аргументы

S

Время от середины момента контрольного уровня к моменту времени каждый переход входит и остается в 2%-й области допуска конечного состояния по длительности, D.

SLEV

Значения формы волны в обосновывающихся точках.

SINST

Моменты времени обосновывающихся точек.

Примеры

свернуть все

Определите обосновывающуюся точку и соответствующее значение формы волны для двухуровневой формы волны. Укажите, что улаживать - ищет длительность 10 секунд.

load('transitionex.mat', 'x')
[s,slev,sinst] = settlingtime(x,10);

Постройте форму волны и аннотируйте обосновывающуюся точку.

settlingtime(x,10)

ans = 1.8901

Определите обосновывающиеся точки для двухуровневой формы волны с тремя переходами. Данные выбираются на уровне 4 МГц. Укажите, что улаживать - ищет длительность одной микросекунды.

load('transitionex.mat','x')
y = [x; fliplr(x)];
fs = 4e6;
t = 0:1/fs:(length(y)*1/fs)-1/fs;

[s,slev,sinst] = settlingtime(y,fs,1e-6);

Постройте форму волны и аннотируйте обосновывающиеся точки.

settlingtime(y,fs,1e-6)

ans = 3×1
10-6 ×

    0.4725
    0.1181
    0.4725

Больше о

свернуть все

Время установления

Время установления является временем после середины момента контрольного уровня, когда кресты сигнала в и остаются в 2 областях %-допуска вокруг государственного уровня. Время установления проиллюстрировано в следующей фигуре. Минимум - и высокие государственные уровни является пунктирными черными линиями. 2% допусков выше и ниже государственных уровней показывают красные пунктирные линии, и время установления обозначается желтым кругом.

Середина контрольного уровня

Середина контрольного уровня в двухуровневой форме волны с низким государственным уровнем, S_1, и высоко - государственный уровень, S_2,

S1+12(S2S1)

Середина момента контрольного уровня

Позвольте y50% обозначить середину контрольного уровня.

Позвольте t50%-, и t50%+ обозначают два момента выборки подряд, соответствуя значениям формы волны, самым близким в значении к y50%.

Позвольте y50%-, и y50%+ обозначают значения формы волны в t50%- и t50%+.

Середина момента контрольного уровня

Допуски государственного уровня

Каждый государственный уровень мог сопоставить ниже - и верхние государственные границы. Эти государственные границы заданы как государственный уровень плюс или минус скалярное кратное различие между высоким состоянием и низким состоянием. Чтобы обеспечить полезную область допуска, скаляр обычно является небольшим числом, таким как 2/100 или 3/100. В целом область для низкого состояния задана как

где низкий государственный уровень и является высоким государственным уровнем. Замените первый срок в уравнении с получить область допуска для высокого состояния.

Следующая фигура иллюстрирует более низкие и верхние 2% государственных границ (области допуска) для положительной полярности двухуровневая форма волны. Красные пунктирные линии указывают на предполагаемые государственные уровни.

Обоснуйтесь ищут длительность

Улаживать ищет, длительность задает интервал времени после середины момента контрольного уровня, что settlingtime ищет обосновывающуюся точку. Если settlingtime не находит, что обосновывающаяся точка в рамках того, чтобы улаживать ищет длительность, settlingtime возвращает NaN для времени установления. Следующая фигура иллюстрирует, что улаживать ищет длительность 10 выборок.

settlingtime может не найти, что обосновывающаяся точка в заданном обосновывается, ищут длительность, если кто-либо из следующих условий происходит:

  • Последнее значение формы волны в том, чтобы улаживать ищет, интервал не в верхнем - и более низкие государственные границы, определенные заданным допуском. Следующая фигура иллюстрирует, что это условие для того, чтобы улаживать ищет длительность 8 выборок и 2%-й области допуска.

    В предыдущей фигуре вы видите, что последняя выборка в том, чтобы улаживать ищет, интервал превышает верхнюю государственную границу. В этом примере, уменьшая или увеличивая улаживать ищут, длительность может привести к допустимому времени установления.

  • Существует недостаточное количество выборок формы волны для заданного, обосновываются, ищут длительность. Следующая фигура иллюстрирует, что это условие для того, чтобы улаживать ищет длительность 20 выборок. Улаживать ищет, длительность расширяет вне итоговой выборки формы волны.

  • Прошедший переход обнаруживается перед концом заданного обосновываются, ищут длительность. Следующая фигура иллюстрирует, что это условие для того, чтобы улаживать ищет длительность 22 выборок. Прошедший переход обнаруживается перед концом с 22 выборками обосновываются, ищут длительность.

Ссылки

[1] Стандарт IEEE® на Переходах, Импульсах и Связанных Формах волны, Стандарт IEEE 181, 2003, стр 23–24.

Смотрите также

| | | |

Представленный в R2012a

Для просмотра документации необходимо авторизоваться на сайте