Bit Reduce

И, ИЛИ, или сокращение бита XOR на всех битах входного сигнала до одного бита

Библиотека

HDL-кодер/логика и битовые операции

  • Bit Reduce block

Описание

Блок Bit Reduce выполняет выбранную операцию сокращения битов (AND, OR, или XOR) для всех бит входного сигнала для однобитового результата.

Параметры

Reduction Mode

Задает операцию сокращения:

  • AND (по умолчанию): Выполните побитовое И уменьшение входного сигнала.

  • OR: Выполните побитовое уменьшение ИЛИ входного сигнала.

  • XOR: Выполните побитовое уменьшение XOR входного сигнала.

Порты

Блок имеет следующие порты:

Вход
  • Поддерживаемые типы данных: Fixed-point, целое число (со знаком или без знака), Boolean

  • Минимальная ширина бита: 2

  • Максимальная ширина бита: 128

Выход

Поддерживаемый тип данных: ufix1

Расширенные возможности

Генерация кода C/C + +
Сгенерируйте код C и C++ с помощью Coder™ Simulink ®

.

См. также

Блоки

Введенный в R2014a
Для просмотра документации необходимо авторизоваться на сайте