Dual Rate Dual Port RAM

Двухпортовая ОЗУ, поддерживающая две скорости

Библиотека

HDL-кодер/HDL-оперативная память

  • Dual Rate Dual Port RAM block

Описание

Блок Dual Rate Dual Port RAM моделирует ОЗУ, которая поддерживает одновременное чтение и запись операций на различные адреса с двумя тактовыми частотами. Порт А ОЗУ может запускаться с одной скоростью, а порт B может запускаться с другой скоростью.

В высокопроизводительных аппаратных приложениях можно использовать этот блок для доступа к оперативной памяти дважды за тактовый цикл. Если вы генерируете HDL-код, этот блок преобразуется в двухчасовую оперативную память с двумя портами в большинстве FPGA.

Одновременный доступ

Вы можете получить доступ к различным адресам из портов А и B одновременно. Также можно одновременно считать тот же адрес из портов А и B.

Однако не получайте доступ к адресу от одного порта ОЗУ, пока он записывается от другого порта ОЗУ. Во время симуляции, если вы получаете доступ к адресу от одного порта оперативной памяти одновременно с записью этого адреса от другого порта оперативной памяти, программное обеспечение сообщает об ошибке.

Чтение-во время-записи Поведение

Оперативная память имеет поведение write-first. Когда вы записываете в оперативную память, новые данные записи сразу доступны в выход порту.

Параметры

Address port width

Ширина адресного бита. Минимальная ширина бита равна 2, а максимальная ширина бита равна 28. Значение по умолчанию является 8.

Порты

Блок имеет следующие порты:

din_A

Запишите вход данных для порта А ОЗУ. Данные могут быть любой ширины. Он наследует ширину и тип данных от входного сигнала.

Тип данных: скалярная фиксированная точка, целое число или комплекс

addr_A

Напишите адрес для порта А ОЗУ.

Тип данных: скалярное беззнаковое целое число (uintN) или неподписанная фиксированная точка (ufixN) с длиной дроби 0

we_A

Напишите enable для порта А ОЗУ. Установите we_A на true для операции записи или false для операции чтения.

Тип данных: Логический

din_B

Запись данных входа для порта B ОЗУ. Данные могут быть любой ширины, и наследует ширину и тип данных от входного сигнала.

Тип данных: скалярная фиксированная точка, целое число или комплекс

addr_B

Напишите адрес для порта B ОЗУ.

Тип данных: скалярное беззнаковое целое число (uintN) или неподписанная фиксированная точка (ufixN) с длиной дроби 0

we_B

Напишите enable для порта B ОЗУ. Установите we_B на true для операции записи или false для операции чтения.

Тип данных: Логический

dout_A

Выход данных из порта А оперативной памяти, addr_A.

dout_B

Выход данных с адреса RAM-порта B, addr_B.

Алгоритмы

расширить все

HDL-код, сгенерированный для блоков оперативной памяти, имеет:

  • Задержка одного такта для вывода считанных данных.

  • Нет сигнала сброса, потому что некоторые инструменты синтеза не выводят ОЗУ из HDL-кода, если он включает сброс.

Генерация кода для блока оперативной памяти создает отдельный файл, blockname.ext. blockname определяется из имени блока ОЗУ. ext - расширение имени файла на целевом языке.

Расширенные возможности

Генерация кода C/C + +
Сгенерируйте код C и C++ с помощью Coder™ Simulink ®

.

См. также

Блоки

Введенный в R2014a
Для просмотра документации необходимо авторизоваться на сайте