Сбор данных покрытия модели

Измерьте покрытие модели, чтобы указать непроверенные элементы вашего проекта во время симуляции

Simulink® Coverage™ измеряет покрытие модели и покрытие кода, чтобы указать непроверенные элементы вашего проекта, такие как логические условия, непредусмотренная функциональность и положения переключателя во время симуляции. Просмотрите информацию о покрытии для модели в сгенерированных отчетах и в подсвеченных моделях, чтобы идентифицировать недостающее покрытие и перейти к связанным требованиям. Определите, нужно ли вам изменять требования, тесты или проект, чтобы соответствовать вашим целям покрытия.

Функции

cvtestСоздайте объект спецификации тестирования покрытия модели
cvsimМоделируйте и возвращайте результаты покрытия модели для тестовых объектов
cvhtmlСоздайте HTML из объектов покрытия модели
cvmodelviewОтобразите результаты покрытия модели с раскраской модели
executioninfoИзвлечение информации о покрытии выполнения из cvdata объект
conditioninfoИзвлечение информации о покрытии условия из cvdata объект
decisioninfoИзвлечение информации о Decision Coverage из cvdata объект
mcdcinfoПолучите измененную информацию о состоянии/покрытии принятия решений от cvdata объект

Темы

Основы покрытия модели

Покрытие модели

Проверьте свои тесты модели, измерив, насколько тщательно тестируются объекты модели.

Simulink Coverage в сквозной систематической верификации

Узнайте, как Simulink Coverage помогает вам повысить доверие в проекте посредством сквозной систематической верификации.

Настройка опций покрытия

В редакторе Simulink Editor задайте несколько опции записи покрытия.

Настройки покрытия

Задайте опции анализа Simulink Coverage.

Типы покрытия модели

Моделируйте метрики покрытия, предоставляемые Simulink Coverage.

Просмотр результатов покрытия в модели

Выделите элементы модели, чтобы просмотреть результаты покрытия.

Получение кумулятивного покрытия для переиспользуемых подсистем и конструкций Stateflow ®

Создайте и просмотрите совокупные результаты покрытия для модели с переиспользуемой подсистемой.

Кумулятивные данные покрытия

Сбор совокупного покрытия модели из последующих запусков симуляции.

Создайте и запустите тесты

Создайте и запустите тесты с помощью покрытия модели MATLAB® команды cvtest и cvsim.

Покрытие для пользовательского кода C/C + + в моделях Simulink

Анализ покрытия модели для C/C + + S-функций.

Детали объекта покрытия модели

Определения измененного условия и Decision Coverage (MCDC) в Simulink Coverage

Описывает определения покрытия MCDC, используемого в Simulink Coverage.

Измененное условие и Decision Coverage в Simulink Design Verifier

Описывает различие между покрытием MCDC в Simulink Design Verifier™ и в Simulink Coverage.

Каскадные шаблоны логического оператора

Эта модель включает различные шаблоны каскадных блоков Логического Оператора.

Анализ MCDC для каскадных логических блоков

Этот пример иллюстрирует, как Simulink ® Coverage™ записывает метрику MCDC для каскада блоков Логического Оператора.

Оптимизация Simulink и покрытие модели

Узнайте, как встроенные параметры, сокращение блоков и выполнение условной входной ветви могут повлиять на данные о покрытии модели.

Объекты модели, которые получают покрытие

Моделируйте объекты, которые получают покрытие модели во время симуляции.

Объекты модели, которые не получают покрытие

Объекты Simulink, которые не получают покрытие.

Моделируйте покрытие для функций MATLAB

Используйте покрытие модели для блоков MATLAB Function и интерпретируйте результаты.

Покрытие модели для диаграмм Stateflow

Определите степень, в которой тест модели осуществляет пути потока управления симуляцией через модель.

Покрытие модели для нескольких Образцов ссылочной Модели

Соберите покрытие модели, когда модель имеет несколько блоков Model, которые ссылаются на одну и ту же модель.

Покрытие для функциональных блоков MATLAB ®

Эта модель примера объясняет, как Покрытие Модели связано с кодом MATLAB внутри Блока MATLAB function.

Покрытие для S-функций

В этом примере показано, как настроить S-функцию, сгенерированную с помощью Legacy Code Tool, для совместимости с покрытием.

Насыщение при целочисленном переполнении покрытия

Симулируйте эту модель, чтобы собрать и сообщить Saturate о целочисленном переполнении.

Рекомендуемые примеры

Для просмотра документации необходимо авторизоваться на сайте