addCustomVivadoDesign

Класс: hdlcoder. ReferenceDesign
Пакет: hdlcoder

Задайте файл Tcl проекта экспортированных блоков Xilinx Vivado

Синтаксис

addCustomVivadoDesign('CustomBlockDesignTcl',bd_tcl_file)

Описание

addCustomVivadoDesign('CustomBlockDesignTcl',bd_tcl_file) задает экспортированный файл Tcl проекта блоков, содержащий Xilinx® Vivado® проект встраиваемых систем. Используйте этот метод, если вашим инструментом синтеза является Xilinx Vivado.

Входные параметры

расширить все

Блок Tcl файла, который вы экспортировали из проекта разработки встраиваемой системы Xilinx Vivado, заданного как вектор символов. Имя файла Tcl должно совпадать с именем блока Vivado.

Пример: 'system_top.tcl'

Совет

  • Если у вас есть несколько основных IP AXI, в файле Tcl пользовательского блока design необходимо убедиться, что основные IP AXI соединяются с одним и тем же IP-адресом AXI Interconnect. К этому соединению также подключаются AXI4 подчиненные интерфейсы в ядре IP HDL.

  • Если вашим инструментом синтеза является Xilinx ISE, используйте addCustomEDKDesign способ.

  • Если вашим инструментом синтеза является Altera® Кварт II, используйте addCustomQsysDesign способ.

Введенный в R2015a
Для просмотра документации необходимо авторизоваться на сайте