Генерация DPI для подсистемы Simulink

Сгенерируйте компонент DPI SystemVerilog из Simulink® подсистема

Можно использовать компонент DPI, сгенерированный из подсистемы Simulink, двумя способами:

  • Экспорт компонента DPI SystemVerilog - можно интегрировать этот компонент в HDL- симуляции как поведенческую модель. Генератор компонентов поддерживает тестовые точки и настраиваемые параметры. Можно также сгенерировать испытательный стенд SystemVerilog, который проверяет сгенерированный компонент DPI на соответствие векторам данных из подсистемы. См. «Генерация компонента DPI SystemVerilog».

  • Сгенерируйте SystemVerilog DPI Test Bench (с HDL- Coder™) - Используйте этот испытательный стенд, чтобы проверить ваш сгенерированный HDL-код с помощью кода C, сгенерированного из всей вашей модели Simulink, включая DUT и источники данных. См. раздел Проверка HDL- Проекта с использованием DPI- Испытательного стенда SystemVerilog (HDL Coder).

См. «Генерация компонентов DPI с Simulink». Для использования этой функции необходимо иметь лицензию Simulink Coder™.

Приложения

HDL VerifierСгенерируйте компонент DPI SystemVerilog из подсистемы Simulink

Блоки

AssertionСгенерируйте утверждения SystemVerilog из утверждения Simulink

Темы

Сгенерируйте и проверьте компонент DPI

Генерация компонентов DPI с Simulink

Если у вас есть лицензия Simulink Coder, можно сгенерировать компоненты SystemVerilog DPI одним из двух методов.

DPI- Испытательных стендов SystemVerilog

Выберите между двумя типами испытательных стендов SVDPI.

Сгенерируйте компонент DPI SystemVerilog

Как сгенерировать компонент DPI SystemVerilog. В этом разделе рассматривается рабочий процесс генерации компонента DPI из Simulink и рассматриваются различные параметры конфигурации.

Используйте сгенерированные функции DPI в SystemVerilog

Как экспортировать сгенерированный компонент DPI в окружение SystemVerilog.

Проверьте сгенерированный компонент на соответствие данным Simulink

Запуск ModelSim® или Questa® в режиме GUI.

Сгенерируйте утверждения SystemVerilog из Simulink Test Stench

Сгенерируйте утверждения SystemVerilog из окружения Simulink.

Расширенные опции DPI

Генерация кросс-платформенных компонентов DPI

Сгенерируйте компонент DPI для операционной системы, отличной от вашей MATLAB® хост-машина.

Настройка сгенерированного кода SystemVerilog

Описывает, как настроить сгенерированный код SystemVerilog.

Настройка параметра усиления во время симуляции

Сгенерируйте компонент DPI, который обеспечивает настраиваемый доступ к параметру.

Доступ к тестовой точке компонента DPI SystemVerilog

Можно назначить внутренние сигналы в модели в качестве тестовых точек и сконфигурировать генератор SystemVerilog DPI, чтобы создать одну или несколько функций доступа.

Сгенерируйте утверждения SystemVerilog и функциональное покрытие

Сгенерируйте SystemVerilog немедленные утверждения от verify операторы и моделируют блоки верификации, и собирают информацию о функциональном покрытии (требует лицензии Simulink Test™).

Проверьте сгенерированный HDL-код с помощью Испытательного стенда DPI SystemVerilog (требуется лицензия HDL Coder)

Проверьте HDL- Проекта с использованием DPI- Испытательного стенда SystemVerilog (HDL Coder)

В этом примере показов, как использовать испытательный стенд DPI SystemVerilog для верификации HDL-кода, где требуется большой набор данных.

Сгенерируйте испытательный стенд и включите покрытие кода с помощью HDL Workflow Advisor (HDL Coder)

Сгенерируйте испытательный стенд и покрытие кода для сгенерированного HDL-кода с помощью HDL Workflow Advisor.

Рекомендуемые примеры

Для просмотра документации необходимо авторизоваться на сайте