Генерация UVM

Сгенерируйте компоненты UVM из Simulink® подсистемы

Сгенерируйте тестовые компоненты универсальной методологии верификации (UVM) и тестируемый поведенческий проект (DUT) из модели Simulink. Сгенерированные компоненты можно использовать двумя способами.

  • Сгенерируйте модель верхней части UVM с испытательного стенда и поведенческой (DUT). Используйте сгенерированный верхний модуль UVM в качестве тестового окружения и замените сгенерированный поведенческий DUT на собственную модель симуляции.

  • Сгенерируйте тестовые компоненты UVM и интегрируйте их в существующее окружение UVM.

Эта функция требует Simulink Coder™.

Функции

uvmbuildСгенерируйте испытательный стенд UVM из модели Simulink

Объекты

uvmcodegen.uvmconfigОбъект строения UVM

Темы

Обзор генерации компонентов UVM

Сгенерируйте универсальную методологию верификации (UVM) из модели Simulink.

Настройка сгенерированного кода UVM

Настройте файл баннеры и время симуляции HDL при генерации испытательного стенда UVM.

Сгенерируйте утверждения SystemVerilog и функциональное покрытие

Сгенерируйте SystemVerilog немедленные утверждения от verify операторы и моделируют блоки верификации, и собирают информацию о функциональном покрытии (требует лицензии Simulink Test™).

Используйте настраиваемые параметры для обобщения симуляции UVM

Сгенерируйте параметры UVM из настраиваемых параметров Simulink.

Настраиваемые параметры в подсистеме последовательности

Сгенерируйте параметры случайных ограничений в последовательности UVM из настраиваемых параметров Simulink.

Настраиваемые параметры в подсистеме табло

Сгенерируйте параметры случайных ограничений в табло UVM из настраиваемых параметров Simulink.

Рекомендуемые примеры

Для просмотра документации необходимо авторизоваться на сайте