Фазовая автоподстройка Циклов

Проектирование и моделирование систем аналоговой фазовой автоподстройки цикла (ФАП)

Проектируйте систему ФАПЛ, начиная с базовых фундаментных блоков или из семейства ссылки архитектур. Моделируйте и анализируйте систему PLL, чтобы проверить ключевые характеристики производительности сервопривода, пока вы не будете соответствовать системным спецификациям.

Можно начать с предоставления спецификаций и повреждений каждого фундаментного блока и связать блоки с моделированием различных архитектурных моделей ФАПЛ (подход снизу вверх). Кроме того, можно начать с полных системных моделей типовой архитектуры ФАПЛ и настроить эти модели до тех пор, пока они не будут соответствовать вашим системным спецификациям (подход «сверху вниз»).

Используйте измерения и Testbenches в течение всего процесса проекта, чтобы проверить спецификации блоков и всей системы при наличии дефектов.

Блоки

расширить все

Charge PumpВывод тока, пропорционального различию коэффициента заполнения между двумя входными портами
Loop FilterМоделируйте фильтр пассивного цикла второго, третьего или четвертого порядка
PFDДетектор фазы/частоты, который сравнивает фазу и частоту между двумя сигналами
VCOМоделирование управляемого напряжением генератора
Ring Oscillator VCOМодель кольцевого генератора VCO
Single Modulus PrescalerЦелочисленный делитель синхроимпульса, который делит частоту входного сигнала
Dual Modulus PrescalerЦелочисленный делитель часов с двумя коэффициентами деления
Fractional Clock Divider with AccumulatorДелитель синхроимпульса, который делит частоту входного сигнала на дробное число
Fractional Clock Divider with DSMДельта-Сигма Модулятор, основанный на дробном тактовом делителе
Fractional N PLL with AccumulatorСинтезатор частоты с фракционной архитектурой на основе аккумулятора N PLL
Fractional N PLL with Delta Sigma ModulatorСинтезатор частоты с дельта-сигма-модулятором на основе дробной N архитектуры ФАПЛ
Integer N PLL with Dual Modulus PrescalerСинтезатор частоты с двухмодульным предварительным калькулятором на основе целого числа N архитектура ФАПЛ
Integer N PLL with Single Modulus PrescalerСинтезатор частоты с единичным модулем предварительного расчета на основе целого числа N архитектура ФАПЛ

Темы

Проектирование и оценка простой модели PLL

В этом примере показов, как спроектировать простой цикл фазовой автоподстройки (ФАП) с помощью архитектуры ссылки и проверить его с помощью теста ФАП.

Рекомендуемые примеры

Для просмотра документации необходимо авторизоваться на сайте