Моделирование проекта и программный интерфейс

Моделируйте алгоритм, чтобы сопоставить порты DUT с интерфейсами AXI и сгенерировать программный интерфейс для зондирования и быстрого прототипирования IP-ядра HDL

Когда вы разделяете проект на аппаратные и программные компоненты, используйте HDL Coder™ HDL Workflow Advisor, чтобы нацелить ваш проект на автономные платы FPGA, устройства СО и модули ввода-вывода Speedgoat FPGA. Проект состоит из алгоритма DUT, для которого вы генерируете код RTL и ядро IP. Можно интегрировать IP-ядро в исходный проект для целевой платформы. Чтобы протестировать функциональность IP-ядра HDL, можно использовать модель сгенерированного программного обеспечения интерфейса или скрипт программного интерфейса.

Классы

расширить все

hdlcoder.BoardОбъект регистрации платы, описывающий пользовательскую плату СнК
hdlcoder.ReferenceDesignИсходный проект объекта регистрации, который описывает SoC исходного проекта
fpgaДоступ к целевому устройству FPGA или SoC из MATLAB
hdlcoder.DUTPortПорт DUT от HDL Coder сгенерированного IP-ядра, сохраненный как объектный массив

Функции

расширить все

addAXI4MasterInterfaceДобавьте и определите AXI4 интерфейс Master
addAXI4SlaveInterfaceДобавьте и задайте AXI4 подчиненный интерфейс
addAXI4StreamInterfaceДобавление AXI4-Stream интерфейса
addAXI4StreamVideoInterfaceДобавить AXI4-Stream Video Interface
addAXI4SlaveInterfaceЗапись данных в IP-ядро или чтение данных из IP-ядра с помощью AXI4 или AXI4-Lite интерфейса
addAXI4StreamInterfaceЗапись данных в IP-ядро или чтение данных из IP-ядра с помощью AXI4-Stream интерфейса
mapPortСопоставляет порт DUT с заданным AXI4 интерфейсом в IP-ядре HDL
writePortЗапись данных в порт DUT из MATLAB
readPortСчитывает выходные данные и возвращает их с типом данных порта и размерностью
releaseОтпустите аппаратные ресурсы, связанные с объектом fpga

Темы

Моделирование для интерфейсов AXI

Моделируйте Проект для генерации AXI4 подчиненного интерфейса

Как спроектировать модель для AXI4 или AXI4-Lite интерфейсов для скаляра, векторных портов, типов данных шины и значений возврата чтения.

Моделируйте Проект для генерации AXI4-Stream интерфейса

Как спроектировать модель для генерации AXI4-Stream вектора или скалярного интерфейса.

Моделируйте Проект для генерации AXI4-Stream видео-интерфейса

Как спроектировать модель для генерации ядра IP с помощью AXI4-stream видеоинтерфейсов.

Моделируйте Проект для генерации AXI4 Master Interface

Описание протокола Master AXI4 и как вы можете проектировать модель для генерации ядра IP с помощью AXI4-Master интерфейсов.

Генерация программного интерфейса

Сгенерируйте скрипт интерфейса программного обеспечения для зондирования и быстрого прототипирования HDL IP Core

Сгенерируйте скрипт программного интерфейса для связи с HDL-ядром IP и выполните быстрое прототипирование.

Сгенерируйте модель программного интерфейса для зондирования и быстрого прототипирования HDL IP Core

Сгенерируйте модель программного интерфейса для связи с HDL-ядром IP и выполните быстрое прототипирование.

Создайте скрипт программного интерфейса для управления и быстрого прототипирования HDL IP Core

Создайте и создайте скрипт программного интерфейса путем настройки интерфейсов и информации о отображении портов для управления HDL-ядром IP.

Рекомендуемые примеры

Для просмотра документации необходимо авторизоваться на сайте